12419

Energy Efficiency Benefits of Reducing the Voltage Guardband on the Kepler GPU Architecture

Jingwen Leng, Yazhou Zu, Vijay Janapa Reddi
The University of Texas at Austin
10th IEEE Workshop On Silicon Errors In Logic – System Effects, 2014

@article{leng2014energy,

   title={Energy Efficiency Benefits of Reducing the Voltage Guardband on the Kepler GPU Architecture},

   author={Leng, Jingwen and Zu, Yazhou and Reddi, Vijay Janapa},

   year={2014}

}

Download Download (PDF)   View View   Source Source   

1291

views

Energy efficiency of GPU architectures has emerged as an important design criterion for both NVIDIA and AMD. In this paper, we explore the benefits of scaling a general-purpose GPU (GPGPU) core’s supply voltage to the near limits of execution failure. We find that as much as 21% of NVIDIA GTX 680’s core supply voltage guardband can be eliminated to achieve significant energy efficiency improvement. Measured results indicate that the energy improvements can be as high as 25% without any performance loss. The challenge, however, is to understand what impacts the minimum voltage guardband and how the guardband can be scaled without compromising correctness. We show that GPU microarchitectural activity patterns caused by different program characteristics are the root cause(s) of the large voltage guardband. We also demonstrate how microarchitecture-level parameters, such as clock frequency and the number of cores, impact the guardband. We hope our preliminary analysis lays the groundwork for future research.
No votes yet.
Please wait...

* * *

* * *

HGPU group © 2010-2024 hgpu.org

All rights belong to the respective authors

Contact us: