3623

Event-driven gate-level simulation with GP-GPUs

Debapriya Chatterjee, Andrew DeOrio, Valeria Bertacco
Dept. of Comput. Sci. & Eng., Univ. of Michigan, Ann Arbor, MI, USA
46th ACM/IEEE Design Automation Conference, 2009. DAC ’09

@conference{chatterjee2009event,

   title={Event-driven gate-level simulation with GP-GPUs},

   author={Chatterjee, D. and DeOrio, A. and Bertacco, V.},

   booktitle={Design Automation Conference, 2009. DAC’09. 46th ACM/IEEE},

   pages={557–562},

   issn={0738-100X},

   year={2009},

   organization={IEEE}

}

Download Download (PDF)   View View   Source Source   

1404

views

Logic simulation is a critical component of the design tool flow in modern hardware development efforts. It is used widely from high level descriptions down to gate level ones to validate several aspects of the design, particularly functional correctness. Despite development houses investing vast resources in the simulation task, particularly at the gate level, it is still far from achieving the performance demands required to validate complex modern designs. In this work, we propose the first event driven logic simulator accelerated by a parallel, general purpose graphics processor (GPGPU). Our simulator leverages a gate level event driven design to exploit the benefits of the low switching activity that is typical of large hardware designs. We developed novel algorithms for circuit netlist partitioning and optimized for a highly parallel GPGPU host. Moreover, our flow is structured to extract the best simulation performance from the target hardware platform. We found that our experimental prototype could handle large, industrial scale designs comprised of millions of gates and deliver a 13x speedup on average over current commercial event driven simulators.
No votes yet.
Please wait...

* * *

* * *

HGPU group © 2010-2024 hgpu.org

All rights belong to the respective authors

Contact us: