6619

Massively Parallel Logic Simulation with GPUs

Yuhao Zhu, Bo Wang, Yangdong Deng
Department of Computer Science, Beihang University, Beijing, China
ACM Transactions on Design Automation of Electronic Systems, Vol. 16, No. 3, Article 29, 2011

@article{zhu2011massively,

   title={Massively Parallel Logic Simulation with GPUs},

   author={Zhu, Y. and Wang, B. and Deng, Y.},

   journal={ACM Transactions on Design Automation of Electronic Systems (TODAES)},

   volume={16},

   number={3},

   pages={29},

   year={2011},

   publisher={ACM}

}

Download Download (PDF)   View View   Source Source   

1520

views

In this article, we developed a massively parallel gate-level logical simulator to address the ever-increasing computing demand for VLSI verification. To the best of the authors’ knowledge, this work is the first one to leverage the power of modern GPUs to successfully unleash the massive parallelism of a conservative discrete event-driven algorithm, CMB algorithm. A novel data-parallel strategy is proposed to manipulate the fine-grain message passing mechanism required by the CMB protocol. To support robust and complete simulation for real VLSI designs, we establish both a memory paging mechanism and an adaptive issuing strategy to efficiently utilize the GPU memory with a limited capacity. A set of GPU architecture-specific optimizations are performed to further enhance the overall simulation performance. On average, our simulator outperforms a CPU baseline event-driven simulator by a factor of 47.4X. This work proves that the CMB algorithm can be efficiently and effectively deployed on modern GPUs without the performance overhead that had hindered its successful applications on previous parallel architectures.
No votes yet.
Please wait...

* * *

* * *

HGPU group © 2010-2024 hgpu.org

All rights belong to the respective authors

Contact us: