18929

LAMDA: Learning-Assisted Multi-Stage Autotuning for FPGA Design Closure

Ecenur Ustun, Shaojie Xiang, Jinny Gui, Cunxi Yu, Zhiru Zhang
School of Electrical and Computer Engineering, Cornell University, Ithaca, NY, USA
International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2019

@article{ustun2019lamda,

   title={LAMDA: Learning-Assisted Multi-Stage Autotuning for FPGA Design Closure},

   author={Ustun, Ecenur and Xiang, Shaojie and Gui, Jinny and Yu, Cunxi and Zhang, Zhiru},

   year={2019}

}

Download Download (PDF)   View View   Source Source   

1081

views

A primary barrier to rapid hardware specialization with FPGAs stems from weak guarantees of existing CAD tools on achieving design closure. Current methodologies require extensive manual efforts to configure a large set of options across multiple stages of the toolflow, intended to achieve high quality-of-results. Due to the size and complexity of the design space spanned by these options, coupled with the time-consuming evaluation of each design point, exploration for reconfigurable computing has become remarkably challenging. To tackle this challenge, we present a learning-assisted autotuning framework called LAMDA, which accelerates FPGA design closure by utilizing design-specific features extracted from early stages of the design flow to guide the tuning process with significant runtime savings. LAMDA automatically configures logic synthesis, technology mapping, placement, and routing to achieve design closure efficiently. Compared with a state-of-the-art FPGA-targeted autotuning system, LAMDA realizes faster timing closure on various realistic benchmarks using Intel Quartus Pro.
No votes yet.
Please wait...

* * *

* * *

HGPU group © 2010-2024 hgpu.org

All rights belong to the respective authors

Contact us: