3060

Accelerating Statistical Static Timing Analysis Using Graphics Processing Units

Kanupriya Gulati, Sunil P. Khatri
Department of ECE, Texas A&M University, College Station, TX
Asia and South Pacific Design Automation Conference, 2009. ASP-DAC 2009, p.260-265

@conference{gulati2009accelerating,

   title={Accelerating statistical static timing analysis using graphics processing units},

   author={Gulati, K. and Khatri, S.P.},

   booktitle={Design Automation Conference, 2009. ASP-DAC 2009. Asia and South Pacific},

   pages={260–265},

   year={2009},

   organization={IEEE}

}

Download Download (PDF)   View View   Source Source   

1217

views

In this paper, we explore the implementation of Monte Carlo based statistical static timing analysis (SSTA) on a graphics processing unit (GPU). SSTA via Monte Carlo simulations is a computationally expensive, but important step required to achieve design timing closure. It provides an accurate estimate of delay variations and their impact on design yield. The large number of threads that can be computed in parallel on a GPU suggests a natural fit for the problem of Monte Carlo based SSTA to the GPU platform. Our implementation performs multiple delay simulations at a single gate in parallel. A parallel implementation of the Mersenne Twister pseudo-random number generator on the GPU, followed by box-Muller transformations (also implemented on the GPU) is used for generating gate delay numbers from a normal distribution. The mu and sigma of the pin-to-output delay distributions for all inputs and for every gate, are obtained using a memory lookup, which benefits from the large memory bandwidth of the GPU. Threads which execute in parallel have no data/control dependencies on each other. All threads compute identical instructions, but on different data, as required by the single instruction multiple data (SIMD) programming semantics of the GPU. Our approach is implemented on a NVIDIA GeForce GTX 8800 GPU card. Our results indicate that our approach can obtain an average speedup of about 260times as compared to a serial CPU implementation. With the recently announced quad 8800 GPU cards, we estimate that our approach would attain a speedup of over 785times. The correctness of the Monte Carlo based SSTA implemented on a GPU has been verified by comparing its results with a CPU based implementation.
No votes yet.
Please wait...

* * *

* * *

HGPU group © 2010-2024 hgpu.org

All rights belong to the respective authors

Contact us: