5271

Elastic pipeline: addressing GPU on-chip shared memory bank conflicts

Chunyang Gou, Georgi N. Gaydadjiev
Delft University of Technology, The Netherlands
Proceedings of the 8th ACM International Conference on Computing Frontiers, CF ’11, 2011

@inproceedings{gou2011elastic,

   title={Elastic pipeline: addressing GPU on-chip shared memory bank conflicts},

   author={Gou, C. and Gaydadjiev, G.N.},

   booktitle={Proceedings of the 8th ACM International Conference on Computing Frontiers},

   pages={3},

   year={2011},

   organization={ACM}

}

Source Source   

1517

views

One of the major problems with the GPU on-chip shared memory is bank conflicts. We observed that the throughput of the GPU processor core is often constrained neither by the shared memory bandwidth, nor by the shared memory latency (as long as it stays constant), but is rather due to the varied latencies caused by memory bank conflicts. This results in conflicts at the writeback stage of the in-order pipeline and pipeline stalls, thus degrading system throughput. Based on this observation, we investigate and propose a novel elastic pipeline design that minimizes the negative impact of on-chip memory bank conflicts on system throughput, by decoupling bank conflicts from pipeline stalls. Simulation results show that our proposed elastic pipeline together with the co-designed bank-conflict aware warp scheduling reduces the pipeline stalls by up to 64.0% (with 42.3% on average) and improves the overall performance by up to 20.7% (on average 13.3%) for our benchmark applications, at trivial hardware overhead.
No votes yet.
Please wait...

* * *

* * *

HGPU group © 2010-2024 hgpu.org

All rights belong to the respective authors

Contact us: